#include #include int main() { int N; std::cin >> N; std::cout << ceil(log2(N)) << std::endl; return 0; }