#include #include int main() { int n; std::cin >> n; std::cout << ceil(log2(n)) << std::endl; return 0; }