//---------- begin union_find ---------- #[allow(dead_code)] mod union_find { use std; pub struct UF { parent: Vec, size: Vec, stack: Vec>, } impl UF { pub fn new(n: usize) -> UF { let mut u = UF { parent: vec![0; n], size: vec![1; n], stack: vec![], }; u.init(); u } pub fn init(&mut self) { for (i, p) in self.parent.iter_mut().enumerate() { *p = i; } self.size.clear(); self.size.resize(self.parent.len(), 1); self.stack.clear(); } pub fn root(&mut self, mut x: usize) -> usize { while self.parent[x] != x { x = self.parent[x]; } x } pub fn same(&mut self, x: usize, y: usize) -> bool { self.root(x) == self.root(y) } pub fn unite(&mut self, mut x: usize, mut y: usize) -> Option<(usize, usize)> { x = self.root(x); y = self.root(y); if x == y { self.stack.push(None); return None; } if self.size[x] < self.size[y] { std::mem::swap(&mut x, &mut y); } self.size[x] += self.size[y]; self.parent[y] = x; self.stack.push(Some((x, y))); Some((x, y)) } pub fn undo(&mut self) -> Option<(usize, usize)> { self.stack .pop() .expect("union find undo error: stack is empty") .map(|(x, y)| { self.size[x] -= self.size[y]; self.parent[y] = y; (x, y) }) } pub fn get_size(&mut self, x: usize) -> usize { let r = self.root(x); self.size[r] } pub fn parent(&self, x: usize) -> Option { if self.parent[x] == x { None } else { Some(self.parent[x]) } } } } //---------- end union_find ---------- // ---------- begin ModInt ---------- mod modint { #[allow(dead_code)] pub struct Mod; impl ConstantModulo for Mod { const MOD: u32 = 998_244_353; } #[allow(dead_code)] pub struct StaticMod; static mut STATIC_MOD: u32 = 0; impl Modulo for StaticMod { fn modulo() -> u32 { unsafe { STATIC_MOD } } } #[allow(dead_code)] impl StaticMod { pub fn set_modulo(p: u32) { unsafe { STATIC_MOD = p; } } } use std::marker::*; use std::ops::*; pub trait Modulo { fn modulo() -> u32; } pub trait ConstantModulo { const MOD: u32; } impl Modulo for T where T: ConstantModulo, { fn modulo() -> u32 { T::MOD } } pub struct ModularInteger(pub u32, PhantomData); impl Clone for ModularInteger { fn clone(&self) -> Self { ModularInteger::new_unchecked(self.0) } } impl Copy for ModularInteger {} impl Add for ModularInteger { type Output = ModularInteger; fn add(self, rhs: Self) -> Self::Output { let mut d = self.0 + rhs.0; if d >= T::modulo() { d -= T::modulo(); } ModularInteger::new_unchecked(d) } } impl AddAssign for ModularInteger { fn add_assign(&mut self, rhs: Self) { *self = *self + rhs; } } impl Sub for ModularInteger { type Output = ModularInteger; fn sub(self, rhs: Self) -> Self::Output { let mut d = T::modulo() + self.0 - rhs.0; if d >= T::modulo() { d -= T::modulo(); } ModularInteger::new_unchecked(d) } } impl SubAssign for ModularInteger { fn sub_assign(&mut self, rhs: Self) { *self = *self - rhs; } } impl Mul for ModularInteger { type Output = ModularInteger; fn mul(self, rhs: Self) -> Self::Output { let v = self.0 as u64 * rhs.0 as u64 % T::modulo() as u64; ModularInteger::new_unchecked(v as u32) } } impl MulAssign for ModularInteger { fn mul_assign(&mut self, rhs: Self) { *self = *self * rhs; } } impl Neg for ModularInteger { type Output = ModularInteger; fn neg(self) -> Self::Output { if self.0 == 0 { Self::zero() } else { Self::new_unchecked(T::modulo() - self.0) } } } impl std::fmt::Display for ModularInteger { fn fmt<'a>(&self, f: &mut std::fmt::Formatter<'a>) -> std::fmt::Result { write!(f, "{}", self.0) } } impl std::str::FromStr for ModularInteger { type Err = std::num::ParseIntError; fn from_str(s: &str) -> Result { let val = s.parse::()?; Ok(ModularInteger::new(val)) } } impl From for ModularInteger { fn from(val: usize) -> ModularInteger { ModularInteger::new_unchecked((val % T::modulo() as usize) as u32) } } impl From for ModularInteger { fn from(val: i64) -> ModularInteger { let m = T::modulo() as i64; ModularInteger::new((val % m + m) as u32) } } #[allow(dead_code)] impl ModularInteger { fn new_unchecked(d: u32) -> Self { ModularInteger(d, PhantomData) } pub fn zero() -> Self { ModularInteger::new_unchecked(0) } pub fn one() -> Self { ModularInteger::new_unchecked(1) } pub fn is_zero(&self) -> bool { self.0 == 0 } } #[allow(dead_code)] impl ModularInteger { pub fn new(d: u32) -> Self { ModularInteger::new_unchecked(d % T::modulo()) } pub fn pow(&self, mut n: u64) -> Self { let mut t = Self::one(); let mut s = *self; while n > 0 { if n & 1 == 1 { t *= s; } s *= s; n >>= 1; } t } pub fn inv(&self) -> Self { assert!(self.0 != 0); self.pow(T::modulo() as u64 - 2) } } // ---------- begin Precalc ---------- #[allow(dead_code)] pub struct Precalc { inv: Vec>, fact: Vec>, ifact: Vec>, } #[allow(dead_code)] impl Precalc { pub fn new(n: usize) -> Precalc { let mut inv = vec![ModularInteger::one(); n + 1]; let mut fact = vec![ModularInteger::one(); n + 1]; let mut ifact = vec![ModularInteger::one(); n + 1]; for i in 2..(n + 1) { fact[i] = fact[i - 1] * ModularInteger::new_unchecked(i as u32); } ifact[n] = fact[n].inv(); if n > 0 { inv[n] = ifact[n] * fact[n - 1]; } for i in (1..n).rev() { ifact[i] = ifact[i + 1] * ModularInteger::new_unchecked((i + 1) as u32); inv[i] = ifact[i] * fact[i - 1]; } Precalc { inv: inv, fact: fact, ifact: ifact, } } pub fn inv(&self, n: usize) -> ModularInteger { assert!(n > 0); self.inv[n] } pub fn fact(&self, n: usize) -> ModularInteger { self.fact[n] } pub fn ifact(&self, n: usize) -> ModularInteger { self.ifact[n] } pub fn perm(&self, n: usize, k: usize) -> ModularInteger { if k > n { return ModularInteger::zero(); } self.fact[n] * self.ifact[n - k] } pub fn comb(&self, n: usize, k: usize) -> ModularInteger { if k > n { return ModularInteger::zero(); } self.fact[n] * self.ifact[k] * self.ifact[n - k] } } // ---------- end Precalc ---------- #[allow(dead_code)] pub fn mod_pow(r: u64, mut n: u64, m: u64) -> u64 { let mut t = 1 % m; let mut s = r % m; while n > 0 { if n & 1 == 1 { t = t * s % m; } s = s * s % m; n >>= 1; } t } } // ---------- end ModInt ---------- //https://qiita.com/tanakh/items/0ba42c7ca36cd29d0ac8 より macro_rules! input { (source = $s:expr, $($r:tt)*) => { let mut iter = $s.split_whitespace(); input_inner!{iter, $($r)*} }; ($($r:tt)*) => { let s = { use std::io::Read; let mut s = String::new(); std::io::stdin().read_to_string(&mut s).unwrap(); s }; let mut iter = s.split_whitespace(); input_inner!{iter, $($r)*} }; } macro_rules! input_inner { ($iter:expr) => {}; ($iter:expr, ) => {}; ($iter:expr, $var:ident : $t:tt $($r:tt)*) => { let $var = read_value!($iter, $t); input_inner!{$iter $($r)*} }; } macro_rules! read_value { ($iter:expr, ( $($t:tt),* )) => { ( $(read_value!($iter, $t)),* ) }; ($iter:expr, [ $t:tt ; $len:expr ]) => { (0..$len).map(|_| read_value!($iter, $t)).collect::>() }; ($iter:expr, chars) => { read_value!($iter, String).chars().collect::>() }; ($iter:expr, bytes) => { read_value!($iter, String).bytes().collect::>() }; ($iter:expr, usize1) => { read_value!($iter, usize) - 1 }; ($iter:expr, $t:ty) => { $iter.next().unwrap().parse::<$t>().expect("Parse error") }; } // use modint::*; type ModInt = ModularInteger; fn run() { input! { n: usize, m: usize, e: [(usize1, usize1); m], } let pc = Precalc::new(n + 15); //ans += sign * pc.fact(v - 1) * ModInt::new(2).pow((v - 1) as u64) * pc.perm(n - used, k) * pc.comb(k + v - 1, v - 1); let a = 30; let b = 15; let mut memo = vec![vec![ModInt::zero(); a + 1]; b + 1];// v, used for i in 1..=b { for j in 1..=a { let mut sum = ModInt::zero(); for k in 0.. { if k + j < 3 { continue; } if j + k > n { break; } sum += pc.perm(n - j, k) * pc.comb(k + i - 1, i - 1); } memo[i][j] = sum * pc.fact(i - 1) * ModInt::new(2).pow((i - 1) as u64); } } let mut u = union_find::UF::new(n); let mut ans = ModInt::zero(); for i in 3..=n { ans += pc.comb(n, i) * pc.fact(i - 1) * ModInt::from(2usize).inv(); } for i in 1..(1 << m) { let mut deg = std::collections::BTreeMap::new(); let mut set = vec![]; let mut sign = ModInt::one(); let mut cycle = 0; for (j, &(a, b)) in e.iter().enumerate() { if (i >> j) & 1 == 1 { sign = -sign; set.push((a, b)); *deg.entry(a).or_insert(0) += 1; *deg.entry(b).or_insert(0) += 1; if u.unite(a, b).is_none() { cycle += 1; } } } for _ in 0..set.len() { u.undo(); } if deg.iter().any(|p| *p.1 > 2) || cycle > 1 { continue; } if deg.iter().all(|p| *p.1 == 2) { ans += sign; continue; } if cycle > 0 { continue; } let v = deg.iter().filter(|p| *p.1 == 1).count() / 2; let used = deg.len(); ans += sign * memo[v][used]; /* for k in 0.. { if k + used < 3 { continue; } if used + k > n { break; } ans += sign * pc.fact(v - 1) * ModInt::new(2).pow((v - 1) as u64) * pc.perm(n - used, k) * pc.comb(k + v - 1, v - 1); } */ } println!("{}", ans); } fn main() { run(); }